Your location: Home > Applications

Applications

What is integrated circuit design

Integrated circuit design (IC Design), also known as VLSI design, refers to the design process aiming at integrated circuits and VLSI. Integrated circuit design involves the establishment of interconnection models of electronic devices (such as transistors, resistors, capacitors, etc.) and devices. All devices and interconnects need to be placed on a piece of semiconductor substrate material. These components are placed on a single silicon substrate through semiconductor device manufacturing processes (such as lithography) to form a circuit.

Silicon is often used as substrate material in IC design. Designers will use technical means to electrically isolate each device on the silicon substrate to control the conductivity of each device on the whole chip. PN junction and metal oxide semiconductor field effect transistor constitute the basic structure of integrated circuit devices, and the complementary metal oxide semiconductor composed of the latter has become the basic structure of logic gates in digital integrated circuits with its advantages of low static power consumption and high integration. Designers need to consider the energy dissipation of transistors and interconnects, which is different from the previous construction of circuits by discrete electronic devices, because all devices of integrated circuits are integrated on a single silicon chip. Electromigration and electrostatic discharge of metal interconnects are usually harmful to devices on microchips, so they are also issues that need attention in integrated circuit design. With the increasing scale of integrated circuits, their integration has reached the deep submicron level (feature size is less than 130 nm), and nearly onebillion transistors have been integrated in a single chip.

Integrated circuit design

Because of its extremely complex, integrated circuit design is more simple than circuit design, which often needs computer-aided design methodology and technical means. The research scope of integrated circuit design covers the optimization of digital logic in digital integrated circuits, the implementation of netlist, the writing of register transfer level hardware description language code, the verification, simulation and timing analysis of logic functions, the distribution of circuit connections in hardware, the placement of operational amplifiers, electronic filters and other devices in analog integrated circuits in chips and the processing of mixed signals. Related research also includes electronic design automation (EDA) of hardware design, computer aided design (CAD) methodology, etc., which is a subset of electrical engineering and computer engineering. For digital integrated circuits, designers mostly stand at the high-level abstraction level, that is, the register transfer level or even higher system level (some people call it the behavior level), and use hardware description language or high-level modeling language to describe the logic and timing functions of the circuit, while logic synthesis can automatically convert the register transfer level hardware description language into a logic gate level netlist. For simple circuits, designers can also use hardware description language to directly describe the connection between logic gates and flip flops. After further functional verification, layout and wiring, the net list can generate a GDSII file for industrial manufacturing. According to this file, the factory can manufacture circuits on the wafer. Analog integrated circuit design involves a more complex signal environment, which has higher requirements for engineers' experience, and its design automation is far less than that of digital integrated circuit. After the functional design is completed step by step, the design rules will indicate which designs match the manufacturing requirements and which designs do not match. This rule itself is very complex. The IC design process needs to match hundreds of such rules. Under certain design constraints, the layout and routing of integrated circuit physical layout is very important to obtain ideal speed, signal integrity and reduce chip area. The unpredictability of semiconductor device manufacturing makes it more difficult to design integrated circuits. In the field of integrated circuit design, due to the pressure of market competition, electronic design automation and other related computer-aided design tools have been widely used. Engineers can carry out register transfer level design, function verification, static timing analysis, physical design and other processes with the help of computer software.


RELATED NEWS

Mobile QR codeclose
code